Oft ist ein Vektor aus mehreren Informationen zusammengesetzt (vgl midi_in).
entity a is port( midi_in: in std_logic_vector(16 downto 0); data: out std_logic_vector(7 downto 0) );
Braucht man nun nur einen Bit-Abschnitt so geschieht dies so:
1. Signal von der gewünschten Bit-Breite definieren
signal s_tone_on: std_logic; signal s_note_value: std_logic_vector(7 downto 0); signal s_velocity: std_logic_vector(7 downto 0);
2. Vektor bitweise zuweisten
s_tone_on <= midi_in(16); s_note_value <= midi_in(15 downto 8); s_velocity <= midi_in(7 downto 0);
….